#1000 a=32'b00000000000000000000000000000000; b=32'b00000000000000000000000000000000; op=0; #1000 a=32'b00111111111111111111111111111111; b=32'b00111111111111111111111111111111; op=0; #1000 a=32'b00000000000000000000000000000000; b=32'b11111111111111111111111111111111; op=0; #1000 a=32'b00111111111111111111111111111111; b=32'b00111111111111111111111111111111; op=0; #1000 a=32'b10101010101010101010101010101010; b=32'b01010101010101010101010101010101; op=0; #1000 a=32'b00111111111111111111111111111111; b=32'b00111111111111111111111111111111; op=0; #1000 a=32'b00000000000000000000000000000000; b=32'b00000000000000000000000000000000; op=1; #1000 a=32'b00111111111111111111111111111111; b=32'b00111111111111111111111111111111; op=1; #1000 a=32'b00000000000000000000000000000000; b=32'b11111111111111111111111111111111; op=1; #1000 a=32'b00111111111111111111111111111111; b=32'b00111111111111111111111111111111; op=1; #1000 a=32'b10101010101010101010101010101010; b=32'b01010101010101010101010101010101; op=1; #1000 a=32'b00111111111111111111111111111111; b=32'b00111111111111111111111111111111; op=1; #1000 a=32'b00000000000000000000000000000000; b=32'b00000000000000000000000000000000; op=2; #1000 a=32'b00111111111111111111111111111111; b=32'b00111111111111111111111111111111; op=2; #1000 a=32'b00000000000000000000000000000000; b=32'b11111111111111111111111111111111; op=2; #1000 a=32'b00111111111111111111111111111111; b=32'b00111111111111111111111111111111; op=2; #1000 a=32'b10101010101010101010101010101010; b=32'b01010101010101010101010101010101; op=2; #1000 a=32'b00111111111111111111111111111111; b=32'b00111111111111111111111111111111; op=2; #1000 a=32'b00000000000000000000000000000000; b=32'b00000000000000000000000000000000; op=6; #1000 a=32'b00111111111111111111111111111111; b=32'b00111111111111111111111111111111; op=6; #1000 a=32'b00000000000000000000000000000000; b=32'b11111111111111111111111111111111; op=6; #1000 a=32'b00111111111111111111111111111111; b=32'b00111111111111111111111111111111; op=6; #1000 a=32'b10101010101010101010101010101010; b=32'b01010101010101010101010101010101; op=6; #1000 a=32'b00111111111111111111111111111111; b=32'b00111111111111111111111111111111; op=6; #1000 a=32'b00000000000000000000000000000000; b=32'b00000000000000000000000000000000; op=7; #1000 a=32'b00111111111111111111111111111111; b=32'b00111111111111111111111111111111; op=7; #1000 a=32'b00000000000000000000000000000000; b=32'b11111111111111111111111111111111; op=7; #1000 a=32'b00111111111111111111111111111111; b=32'b00111111111111111111111111111111; op=7; #1000 a=32'b10101010101010101010101010101010; b=32'b01010101010101010101010101010101; op=7; #1000 a=32'b00111111111111111111111111111111; b=32'b00111111111111111111111111111111; op=7;